Pertanyaan yang diberi tag «intel-fpga»

4
Menggunakan kedua ujung jam
Saya memprogram Altera Cyclone IV menggunakan Verilog dan Quartus II. Dalam desain saya, saya ingin menggunakan kedua ujung jam sehingga saya bisa melakukan pembagian jam dengan faktor aneh dengan siklus tugas 50%. Berikut ini cuplikan kode saya: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin fixed_clock …

3
Apa itu kemiringan jam, dan mengapa itu bisa negatif?
Kompiler HDL saya (Quartus II) menghasilkan laporan waktu. Di dalamnya, node memiliki kolom "skew clock". Satu-satunya definisi kemiringan jam yang saya temukan adalah dalam dokumentasi TimeQuest (lihat halaman 7-24): Untuk secara manual menentukan ketidakpastian jam, atau condong, untuk transfer jam ke jam, gunakan set_clock_uncertaintyperintah. Jadi jika condong adalah "ketidakpastian", mengapa …
Dengan menggunakan situs kami, Anda mengakui telah membaca dan memahami Kebijakan Cookie dan Kebijakan Privasi kami.
Licensed under cc by-sa 3.0 with attribution required.